diff --git a/src/audio_core/sink/sdl2_sink.cpp b/src/audio_core/sink/sdl2_sink.cpp index dee47cf0e..cc13c6254 100644 --- a/src/audio_core/sink/sdl2_sink.cpp +++ b/src/audio_core/sink/sdl2_sink.cpp @@ -230,7 +230,7 @@ std::vector ListSDLSinkDevices(bool capture) { const int device_count = SDL_GetNumAudioDevices(capture); for (int i = 0; i < device_count; ++i) { - const char* name = SDL_GetAudioDeviceName(i, 0); + const char* name = SDL_GetAudioDeviceName(i, capture); if (name != nullptr) { device_list.emplace_back(name); }